User menu

Accès à distance ? S'identifier sur le proxy UCLouvain

Search

Displaying 1 - 25 of 372 results.

Pages

    • Speech
    Un escape game pour préparer des étudiants de master au stage en entreprise
    Vangrunderbeeck, P. Malcourant, E. Lecoq, J. Ducarme, D. Raskin, Jean-Pierre[UCL] (2018) Colloque AUPTIC.education 2018 - Les technologies au service du pédagogique — Bienne (Suisse)
    • Speech
    Three aspects of reflection to decolonize Service Learning in its practice
    Merle, Stéphanie[UCL] Raskin, Jean-Pierre[UCL] (2019) The 2nd European Conference on Service-Learning in Higher Education - ECSL 2019 — Antwerpen (Belgium)
    • Speech
    Finite element method simulations of MEMS bilayers
    Iker, F. Du Bois, B. De Moor, P. Raskin, Jean-Pierre[UCL] (2003) Union Radio-Scientifique Internationale (U.R.S.I.) — Palaisdes Académies, Brussels (Belgium)
    • Speech
    Structural and mechanical properties of the amorphous silicon carbide films for MEMS applications
    Rusavsky, V. A. Vasin, A. V. Lysenko, V. S. Nazarov, A. N. Dub, S. N. André, N. Raskin, Jean-Pierre[UCL] (2006) The 5th International Conference on Amorphous & Microcrystalline Semiconductors — St. Petersburg (Russia)
    • Journal article
    Raman analysis of strain in p-type doped silicon nanostructures
    Ureña Begara, Fernando[UCL] Vayrette, Renaud Bhaskar, Umesh Kumar Raskin, Jean-Pierre[UCL] (2018) Journal of Applied Physics — Vol. 124, no.9, p. 095102 (2018)
    • Journal article
    Wideband characterization of SOI materials and devices
    Raskin, Jean-Pierre[UCL] (2007) Solid-State Electronics — Vol. 51, p. 1161-1171 (2007)
    • Journal article
    Low Schottky barrier height for ErSi2−x/n-Si contacts formed with a Ti cap
    Reckinger, N. Tang, Xiaohui[UCL] Dubois, E. Godey, S. Wallart, X. Raskin, Jean-Pierre[UCL] (2008) Journal of Applied Physics — Vol. 104, no.10, p. 103523 (2008)
    • Journal article
    SOITEC and UCL boost the RF performance of SOI substrates
    Raskin, Jean-Pierre[UCL] Desbonnets, E. (2013) Advanced Substrate News — (2013)
    • Journal article
    RF SOI CMOS technology on 1st and 2nd generation trap-rich high resistivity SOI wafers
    Kazemi Esfeh, Babak[UCL] Makovejev, Sergej Basso, Didier Desbonnets, Eric Kilchytska, Valeriya[UCL] Flandre, Denis[UCL] Raskin, Jean-Pierre[UCL] (2017) Solid-State Electronics, Special Issue EuroSOI-ULIS 2016 — Vol. 128, no.February 2017, p. 121-128 (February 2017)
    • Journal article
    28-nm FD-SOI CMOS RF Figures of Merit Down to 4.2 K
    Nyssens, Lucas[UCL] Halder, Arka[UCL] Kazemi Esfeh, Babak[UCL] Planes, Nicolas Flandre, Denis[UCL] Kilchytska, Valeriya[UCL] Raskin, Jean-Pierre[UCL] (2020) I E E E Journal of the Electron Devices Society — Vol. B, p. 646-654 (2020)
    • Journal article
    Raman and XPS characterization of vanadium oxide thin films with temperature
    Ureña Begara, Fernando[UCL] Crunteanu, Aurelian Raskin, Jean-Pierre[UCL] (2017) Applied Surface Science — Vol. 403, no.1 May 2017, p. 717-727 (May 2017)
    • Speech
    Leakage components in fully-depleted SOI CMOS technology: implications on IDDQ testing
    Iniguez, B. Raskin, Jean-Pierre[UCL] Simon, Pascal[UCL] Flandre, Denis[UCL] Segura, J. (2001) 2001 IEEE International Workshop on Defect Based Testing (DBT 2001) — Marina del Rey, Los Angeles (USA)
    • Speech
    Performance of RF passive structures and SOI MOSFETs transferred on a passivated HR SOI substrate
    Lederer, D. Aspar, B. Laghaé, C. Raskin, Jean-Pierre[UCL] (2006) IEEE International SOI Conference, SOI’06 — Niagara Falls, New York (USA)

Pages