User menu

Accès à distance ? S'identifier sur le proxy UCLouvain

Search

Displaying 1 - 25 of 1097 results.

Pages

    • Speech
    Body-biasing control on zero-temperature-coefficient in partially depleted SOI MOSFET
    El Kaamouchi, Majid[UCL] Dambrine, G. Si Moussa, M. Emam, Mostafa[UCL] Vanhoenacker-Janvier, Danielle[UCL] Raskin, Jean-Pierre[UCL] (2008) 2008 IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems — Orlando, FL, USA
    • Speech
    Mechanical properties of anodic aluminum oxide for microelectromechanical system applications
    Moreno Hagelsieb, Luis[UCL] Flandre, Denis[UCL] Raskin, Jean-Pierre[UCL] (2008) 15th Workshop on Dielectrics in Microelectronics (WoDiM 2008) — Bad Saarow (Germany)
    • Journal article
    Potential and Modeling of 1 µm - 1 GHz SOI CMOS OTAs
    Eggermont, Jean-Pierre[UCL] Flandre, Denis[UCL] Raskin, Jean-Pierre[UCL] Colinge, Jean-Pierre[UCL] (1997) Electronics Letters — Vol. 33, no. 9, p. 774-775 (April)
    • Journal article
    A dynamic study for wafer-level bonding strength uniformity in low-temperature wafer bonding
    Zhang, XX Raskin, Jean-Pierre[UCL] (2005) Electrochemical and Solid-State Letters — Vol. 8, no. 10, p. G268-G270 (2005)
    • Speech
    Simulation of the effect of microstructure on the elastic properties of copper interconnects
    Wilson, C.J. Oila, A. Sanderson, L. Bull, S.J. Raskin, Jean-Pierre[UCL] (2010) 11th International Workshop on Stress-Induced Phenomena in Metallization – Stress Workshop 2010 — Dresden, Germany
    • Journal article
    Analysis on the improved analog performance on double gate transistors by using the graded-channel architecture in a wide temperature range
    Pavanello, M.A. Martino, J.A. Raskin, Jean-Pierre[UCL] Flandre, Denis[UCL] (2005) Solid-State Electronics, Elsevier Science, Pergamon — Vol. 49, no. 10, p. 1569-1575 (October)
    • Speech
    MEMS based microstructures for nanomechanical characterization of thin films
    Boé, A. Coulombier, Michaël[UCL] Ryelandt, Sophie[UCL] Pardoen, Thomas[UCL] Raskin, Jean-Pierre[UCL] (2009) GDR MECANO - 2ème atelier général Ecole des Mines de Paris — Paris
    • Journal article
    Impact of crosstalk into high resistivity silicon substrate on the RF performance of SOI MOSFET
    Ben Ali, Khaled[UCL] Roda Neve, Cesar[UCL] Gharsallah, A. Raskin, Jean-Pierre[UCL] (2010) Journal of Telecommunications and Information Technology — Vol. 4, p. 93-100 (2010)
    • Journal article
    Functionalization of Silicon Nanowires for Specific Sensing
    Passi, Vikram[UCL] Dubois, E. Celle, C. Clavaguera, S. Simonato, J.-P. Raskin, Jean-Pierre[UCL] (2011) Electrochemical Society. Transactions — Vol. 35, no. 5, pp. 313-318 (May 10, 2011)
    • Speech
    Fracture resistance of interfaces in bonded silicon wafers
    Bertholet, Y. Iker, François[UCL] Zhang, Xuan Xiong[UCL] Raskin, Jean-Pierre[UCL] Pardoen, Thomas[UCL] (2004) 15th European Conference of Fracture — Stockholm, Sweden
    • Speech
    Low-power/high-temperature sensors and MEMS in SOI technology
    Moreno Hagelsieb, Luis[UCL] Tang, Xiaohui[UCL] Bulteel, Olivier[UCL] Nizzet, Y.[UCL] André, Nicolas[UCL] Gérard, Pierre[UCL] Dupuis, Pascal[UCL] Francis, Laurent[UCL] Raskin, Jean-Pierre[UCL] Flandre, Denis[UCL] (2010) 2010 NSTI Nanotechnology Conference and Expo (NSTI-Nanotech 2010) — Anaheim (CA/USA)
    • Speech
    Routes towards novel active pressure sensors in SOI technology
    Olbrechts, Benoit[UCL] Rue, Bertrand[UCL] Pardoen, Thomas[UCL] Flandre, Denis[UCL] Raskin, Jean-Pierre[UCL] (2010) 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” — Kyiv, Ukraine
    • Speech
    Effect of parasitic elements on UTBB FD SOI MOSFET RF figures of merit
    Md Arshad, M.K.[UCL] Kilchytska, Valeriya[UCL] Emam, Mostafa[UCL] Andrieu, François Flandre, Denis[UCL] Raskin, Jean-Pierre[UCL] (2013) Ninth Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits (EUROSOI 2013) — Paris (France)
    • Speech
    High Resistivity SOI wafer: the substrate for RF SoC applications?
    Raskin, Jean-Pierre[UCL] (2010) 6th International SemOI Conference and 1st Ukrainian-French Seminar “Semiconductor-on-Insulator materials, devices and circuits: physics, technology and diagnostics” — Kyiv, Ukraine
    • Journal article
    Ductility of thin metallic films
    Pardoen, Thomas[UCL] Coulombier, Michaël[UCL] Boe, Alexandre[UCL] Safi, A. Brugger, Charles[UCL] Ryelandt, Sophie[UCL] Carbonnelle, Pierre[UCL] Gravier, Sébastien[UCL] Raskin, Jean-Pierre[UCL] (2010) Materials Science Forum — Vol. 633-634, p. 615-635 (2010)

Pages