User menu

Accès à distance ? S'identifier sur le proxy UCLouvain

Search

Displaying 1 - 25 of 402 results.

Pages

    • Journal article
    Dynamic differential self-timed logic families for robust and low-power security ICs
    Hassoune, Ilham[UCL] Macé, François[UCL] Flandre, Denis[UCL] Legat, Jean-Didier[UCL] (2007) Integration : the V L S I journal — Vol. 40, no. 3, p. 355-364 (2007)
    • Journal article
    Building ultra-low-power high-temperature digital circuits in standard high-performance SOI technology
    Bol, David[UCL] De Vos, Julien[UCL] Ambroise, Renaud[UCL] Flandre, Denis[UCL] Legat, Jean-Didier[UCL] (2008) Solid-State Electronics — Vol. 52, no. 12, p. 1939-1945 (2008)
    • Journal article
    A capacitorless 1T-DRAM on SOI based on dynamic coupling and double-gate operation
    Bawedin, Maryline[UCL] Cristoloveanu, Sorin Flandre, Denis[UCL] (2008) IEEE Electron Device Letters — Vol. 29, no. 7, p. 795-798 (2008)
    • Journal article
    Gain improvement in operational transconductance amplifiers using Graded-Channel SOI nMOSFETS
    Gimenez, SP Flandre, Denis[UCL] Pavanello, MA Martino, JA (2006) Microelectronics Journal — Vol. 37, no. 1, p. 31-37 (2006)
    • Journal article
    ULPFA: A New Efficient Design of a Power-Aware Full Adder
    Hassoune, Ilham[UCL] Flandre, Denis[UCL] O'Connor, Ian Legat, Jean-Didier[UCL] (2010) IEEE Transactions on Circuits and Systems Part 1: Regular Papers — Vol. 57, no. 8, p. 2066-2074 (2010)
    • Journal article
    Analysis of Mismatching on the Analog Characteristics of GC SOI MOSFETs
    Alves, Camila Restani Flandre, Denis[UCL] de Souza, Michelly (2018) Journal of Integrated Circuits and Systems — Vol. 13, no.3, p. 1-8 (2018)
    • Journal article
    Influence of Geometrical Parameters on the DC Analog Behavior of the Asymmetric Self-Cascode FD SOI nMOSFETs
    Assalti, Rafael Flandre, Denis[UCL] de Souza, Michelly (2018) Journal of Integrated Circuits and Systems — Vol. 13, no.2, p. 1-7 (2018)
    • Journal article
    Interests and Limitations of Technology Scaling for Subthreshold Logic
    Bol, David[UCL] Ambroise, Renaud[UCL] Flandre, Denis[UCL] Legat, Jean-Didier[UCL] (2009) IEEE Transactions on Very Large Scale Integration (VLSI) Systems — Vol. 17, no. 10, p. 1508-1519 (2009)
    • Journal article
    Comprehensive Analytical Comparison of Ring Oscillators in FDSOI Technology: Current Starving Versus Back-Bias Control
    Schramme, Maxime[UCL] Van Brandt, Léopold[UCL] Flandre, Denis[UCL] Bol, David[UCL] (2022) IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—I: REGULAR PAPERS — Vol. 69, no. 5, p. 1883-1895 (2022)
    • Journal article
    Ultra Low Power Ionizing Dose Sensor Based on Complementary Fully Depleted MOS Transistors for Radiotherapy Application
    Alcalde Bessia, Fabricio Flandre, Denis[UCL] André, Nicolas[UCL] Irazoqui, Julieta[Fundacíon Instituto de Tecnologas Nucleares para la Salud, Bariloche/Argentina] Pérez, Martín Gómez Berisso, Mariano Lipovetzky, Jose (2020) Transactions on Nuclear Science — Vol. 67, no. 10, p. 2217-2223 (2019)
    • Journal article
    A 2.5-GHz Clock Recovery Circuit Based on a Back-Bias-Controlled Oscillator in 28-nm FDSOI
    Schramme, Maxime[UCL] Gimeno Gasca, Cecilia[UCL] Cathelin, Andreia Flandre, Denis[UCL] Bol, David[UCL] (2020) IEEE Solid-State Circuits Letters — Vol. 3, p. 478-481 (2020)

Pages