User menu

Accès à distance ? S'identifier sur le proxy UCLouvain

A 25MHz 7μW/MHz Ultra-Low-Voltage Microcontroller SoC in 65nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes

Bibliographic reference Bol, David ; De Vos, Julien ; Hocquet, Cédric ; Durvaux, François ; Botman, François ; et. al. A 25MHz 7μW/MHz Ultra-Low-Voltage Microcontroller SoC in 65nm LP/GP CMOS for Low-Carbon Wireless Sensor Nodes.International Solid-State Circuits Conference (San Francisco, du 19/02/2012 au 23/02/2012). In: IEEE International Solid State Circuits Conference. Digest of Technical Papers, Vol. 55, no. 1, p. 490-491 (February 2012)
Permanent URL http://hdl.handle.net/2078.1/109982